init, starts simulation incorrectly

This commit is contained in:
Luka Jankovic 2023-10-11 03:46:43 +02:00
commit bb97d075c2
4 changed files with 113 additions and 0 deletions

17
src/adder.vhdl Normal file
View file

@ -0,0 +1,17 @@
library ieee;
use ieee.std_logic_1164.all;
entity and_gate is
port(
x : in std_logic;
y : in std_logic;
z : out std_logic
);
end entity;
architecture behav of and_gate is
signal a : std_logic;
begin
a <= x;
z <= a and y;
end architecture;