add pmod ssd
This commit is contained in:
commit
8e8d2608bf
14 changed files with 585 additions and 0 deletions
9
ssd/sim/ssd_tb.vhdl
Normal file
9
ssd/sim/ssd_tb.vhdl
Normal file
|
|
@ -0,0 +1,9 @@
|
|||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
|
||||
entity ssd_tb is
|
||||
end entity;
|
||||
|
||||
architecture behav of ssd_tb is
|
||||
begin
|
||||
end architecture;
|
||||
Loading…
Add table
Add a link
Reference in a new issue