changed synth to build
This commit is contained in:
parent
fab7ff9ab9
commit
db656c31b4
2 changed files with 20 additions and 6 deletions
21
Makefile
21
Makefile
|
|
@ -36,13 +36,26 @@ $(BUILD_DIR):
|
||||||
source $(VIVADO_SETTINGS) && \
|
source $(VIVADO_SETTINGS) && \
|
||||||
mkdir -p $@
|
mkdir -p $@
|
||||||
|
|
||||||
synth: $(BUILD_DIR)/synthesize.tcl
|
build: $(BUILD_DIR)/build.tcl
|
||||||
source $(VIVADO_SETTINGS) && \
|
source $(VIVADO_SETTINGS) && \
|
||||||
cd .build && \
|
cd .build && \
|
||||||
vivado -mode batch -nojournal -source synthesize.tcl
|
vivado -mode batch -nojournal -source build.tcl
|
||||||
|
|
||||||
$(BUILD_DIR)/synthesize.tcl: synthesize.tcl.in $(BUILD_DIR)
|
program: $(BUILD_DIR)/program.tcl
|
||||||
sed -e 's/{{THREADS}}/$(THREADS)/g; s/{{CONST}}/$(CONSTRAINTS)/g; s/{{PART}}/$(PART)/g; s/{{TOP}}/$(TOP)/g' $< > $@
|
source $(VIVADO_SETTINGS) && \
|
||||||
|
cd .build && \
|
||||||
|
vivado -mode batch -nojournal -source program.tcl
|
||||||
|
|
||||||
|
$(BUILD_DIR)/build.tcl: build.tcl.in $(BUILD_DIR)
|
||||||
|
sed -e 's/{{THREADS}}/$(THREADS)/g' \
|
||||||
|
-e 's/{{CONST}}/$(CONSTRAINTS)/g' \
|
||||||
|
-e 's/{{PART}}/$(PART)/g' \
|
||||||
|
-e 's/{{TOP}}/$(TOP)/g' \
|
||||||
|
-e 's/{{PROJ}}/$(PROJ_NAME)/g' \
|
||||||
|
-e 's/{{SRC}}/$(SRC_DIR)/g' $< > $@
|
||||||
|
|
||||||
|
$(BUILD_DIR)/program.tcl: program.tcl.in $(BUILD_DIR)
|
||||||
|
sed -e 's/{{PROJ}}/$(PROJ_NAME)/g' $< > $@
|
||||||
|
|
||||||
.PHONY: clean
|
.PHONY: clean
|
||||||
clean:
|
clean:
|
||||||
|
|
|
||||||
|
|
@ -1,6 +1,7 @@
|
||||||
set_param general.maxThreads {{THREADS}}
|
set_param general.maxThreads {{THREADS}}
|
||||||
read_vhdl [glob ../src/*.vhdl]
|
read_vhdl [glob ../{{SRC}}/*.vhdl]
|
||||||
read_xdc ../{{CONST}}
|
read_xdc ../{{CONST}}
|
||||||
|
|
||||||
synth_design -part {{PART}} -top {{TOP}}
|
synth_design -part {{PART}} -top {{TOP}}
|
||||||
write_checkpoint -force post_synth.dcp
|
write_checkpoint -force post_synth.dcp
|
||||||
report_timing_summary -file timing_syn.rpt
|
report_timing_summary -file timing_syn.rpt
|
||||||
|
|
@ -22,4 +23,4 @@ report_drc -file post_imp_drc.rpt
|
||||||
write_verilog -force bft_impl_netlist.v
|
write_verilog -force bft_impl_netlist.v
|
||||||
write_xdc -no_fixed_only -force bft_impl.xdc
|
write_xdc -no_fixed_only -force bft_impl.xdc
|
||||||
|
|
||||||
write_bitstream -force bft.bit
|
write_bitstream -force {{PROJ}}.bit
|
||||||
Loading…
Add table
Add a link
Reference in a new issue